Lógica Combinacional: 21 fatos importantes que você deve saber

Definição de lógica combinatória

A lógica combinacional é um tipo de lógica em que a saída só pode ser modificada pela entrada atual.

Circuitos Lógicos Combinacionais | O que é o Circuito Lógico Combinacional

O circuito combinacional é um tipo de circuito no qual a entrada de corrente só pode modificar a saída de corrente. Este circuito também é conhecido como circuito independente do relógio porque para funcionar não necessita de relógio. Este circuito não tem um elemento de memória ou nenhum caminho de feedback, então o circuito não pode armazenar nenhum dado. Um circuito combinacional pode ser projetado combinando as portas lógicas. Os circuitos usados ​​na lógica combinacional são usados ​​como codificação, decodificação, detecção de erro, manipulação, etc. Os circuitos básicos da lógica combinacional são multiplexador, decodificador, codificador, shitter, Somador, Subtrator, etc.

imagem 2 1 2

Fig. Diagrama de blocos de um circuito combinacional.

Um circuito lógico combinacional pode ter 'n' número de variáveis ​​de entrada e 'm' número de variáveis ​​de saída. Para a variável de entrada 'n', há 2n possíveis combinações de variáveis ​​de entrada. Para cada combinação única de variáveis ​​de entrada, existe apenas uma combinação de saída possível. A função de saída é sempre expressa em termos das variáveis ​​de entrada. Uma tabela verdade ou equação booleana pode determinar a relação entre a saída e a entrada de um circuito combinacional.

Tipos de Circuitos Lógicos Combinacionais

A classificação dos circuitos combinacionais é baseada na aplicação em que estão sendo usados:

  1. Circuito aritmético e lógico: somador, subtrator, comparadores, etc.
  2. Transmissão de dados: Multiplexer, Demultiplexer, Encoder, etc.
  3. Conversor de código: conversor de código binário, conversor de código BCD, etc.

Portas Lógicas de Combinação

As portas lógicas combinacionais são as portas fundamentais que são combinadas para formar qualquer circuito eletrônico digital. Uma porta lógica é ideal para implementar uma função Booleana essencial - por exemplo, porta, porta NAND, porta OR, porta NOR, etc.

Portas lógicas combinatórias
Crédito da imagem: “Portas lógicas” by Plusea está licenciado sob CC BY 2.0

E portão:

A porta AND tem duas ou mais entradas com uma saída. A saída é alta significa '1' quando todas as entradas são altas; caso contrário, o resultado é baixo significa '0'.

imagem 3 2

Fig. Diagrama lógico da porta AND

OU portão:

A porta OR tem duas ou mais entradas e uma saída. A saída é alta significa '1' quando pelo menos uma entrada é alta; caso contrário, o resultado é baixo, o que significa '0'. Mas está disponível em porta OR comercial com tipos de entrada 2,3 e $.

imagem

Fig. Diagrama lógico da porta OR

NÃO portão:

A porta NOT tem uma entrada com uma saída. Quando a entrada é alta significa '1', então a saída da porta NOT será baixa, o que significa '0'.

imagem 4 1

Fig. Diagrama lógico da porta NOT

Portão NAND:

Porta NAND significa NOT AND, aqui a saída da porta AND alimenta a porta NOT. A porta NAND pode ser projetada a partir da tabela verdade da porta AND complementando as variáveis ​​de saída. O resultado da porta NAND é baixo quando todas as entradas lógicas são altas. Caso contrário, a saída é alta.

imagem 5 1

Fig. Diagrama lógico da porta NAND

Porta NOR:

NOR significa NÃO OU portão. Aqui, a saída da porta OR é alimentada pela porta NOT. Porta NOR projetada a partir da tabela verdade da porta OR complementando todas as variáveis ​​de saída. A saída de uma porta NOR é alta quando todas as entradas são baixas. Caso contrário, a saída é baixa.

imagem 6 1

Fig. Diagrama lógico da porta NOR

Portão XOR:

Porta XOR significa porta OU Exclusiva, também conhecida como porta EX-OR, tem duas entradas e uma saída. Para duas portas de entrada, a saída da porta XOR é alta, o que significa '1' quando o bit de entrada é diferente, e a saída é baixa significa '0' quando há uma entrada semelhante.

imagem 7 1

Fig. Diagrama lógico da porta XOR

Portão XNOR:

XNOR significa porta Exclusive-NOR, também conhecida como EX-NOR; NÃO é de EX-OR. A saída de uma porta XNOR de duas entradas é alta, o que significa '1' quando a entrada é semelhante e baixa quando, ao contrário da entrada.

imagem 8 1

Fig. Diagrama lógico da porta XNOR

Exemplos de lógica combinatória | Exemplos de circuitos de lógica combinatória

Meio Somador:

Meio somador é um exemplo de circuito combinacional, ao qual podemos adicionar dois bits. Ele tem duas entradas, cada uma com um bit e duas saídas, em que uma é a saída de transporte e a outra é a saída de soma.

imagem 9 1 1

Fig. Diagrama lógico de meio somador projetado com porta AND e porta XOR.

Adicionador completo:

O somador completo é um exemplo do circuito aritmético combinacional; aqui, podemos adicionar seu bit por vez, e tem duas saídas soma e transporte. Em meio somador, só podíamos adicionar dois bits por vez. Um somador completo supera essa limitação; um somador completo é essencial para adicionar um grande número binário. No entanto, um somador completo pode adicionar apenas um número binário de um bit por vez, mas ao colocar o somador completo em cascata, podemos adicionar um número binário mais extenso. No entanto, podemos criar um somador completo combinando dois meio somadores.

imagem 10 2

Fig. Diagrama de blocos do somador completo

Meio Subtrator:

Um meio subtrator é um circuito aritmético combinacional que realiza a subtração de dois bits de entrada e fornece duas saídas, uma como diferença e outra como empréstimo. O projeto do circuito subtrator é principalmente semelhante ao de um somador. Não posso considerar nenhuma entrada emprestada.

imagem 11 1

Fig. Diagrama lógico de meio subtrator projetado com porta AND, porta NÃO e porta XOR.

Subtrator Completo:

completo subtrator também é um circuito combinacional aritmético, onde podemos realizar a subtração de três entradas de um bit, as entradas são o minuendo, o subtraendo e um empréstimo. Ele gera duas saídas, uma como diferença da entrada e outra como empréstimo.

imagem 12 1

Fig. Diagrama de blocos do subtrator completo.

Multiplexador:

O multiplexador possui múltiplas entradas e uma única saída, e possui uma linha seletora que seleciona uma entrada por vez conforme o requisito. Ele o envia para a linha de saída e, para o número 'n' de entrada aqui, precisamos do número 'm' da linha selecionada, onde n = 2m. Ele também tem uma linha de entrada habilitada, permitindo-nos conectar o multiplexador em cascata ou expansão adicional conforme necessário. Também é chamado de seletor de dados. 16: 1 É o maior multiplexador disponível em formato IC.

imagem 13 2

Fig. Diagrama de blocos do Multiplexer.

Demultiplexador:

O demultiplexador possui apenas uma entrada e várias saídas. Possui linha seletora que seleciona uma linha de saída por vez; com a linha selecionada, podemos distribuir o sinal de entrada em muitas linhas de saída conforme nosso requisito. Para o número 'n' da linha de saída aqui, precisamos do número 'm' da linha selecionada onde n = 2m. Demultiplexador pode funcionar como um conversor binário para decimal.

imagem 14 2

Fig. Diagrama de blocos do Demultiplexador.

Comparador:

Um comparador é um circuito combinacional onde pode comparar a magnitude de um número de dois n bits e nos fornecer o resultado relativo como saída. Pode ter três saídas. Por exemplo, a entrada que fornecemos A e B para o comparador, onde A e B podem ser um número de n bits, a saída do comparador pode ser A B. O circuito verifica a magnitude da entrada e a compara; há uma porta de saída diferente para A = B, A> B e A

imagem 15 1

Fig. Diagrama de blocos do comparador de n bits

Codificador:

A codificador é um circuito combinacional. Tem 2n linhas de entrada e tem 'n' linhas de saída correspondentes à entrada do código de n bits.

imagem 16 1

Fig. Diagrama de blocos do codificador.

Decodificador:

É um circuito que converte n linhas de entrada binárias para um máximo de 2n linhas de saída.

imagem 17 1

Fig. Diagrama de blocos de um decodificador.

Adicionador BCD:

Um somador BCD é um circuito aritmético combinacional usado para operar a adição em números BCD, dígitos e saída produzida na forma BCD. Às vezes, a saída de um somador BCD pode ser um número BCD válido e, em seguida, converte esse número BCD inválido em válido adicionando 0110 à saída inválida.

Subtrator BCD:

Um subtrator BCD deve operar a subtração no número BCD. Se tomarmos dois números BCD de entrada, um como A e o outro como B, a subtração do número BCD é equivalente à adição de um complemento de B a A. Em BCD, o método do complemento de 9 da subtração ou do complemento de 10 é usado.

ALU (Unidade Lógica Aritmética):

 O circuito da unidade lógica aritmética é amplamente utilizado como um circuito combinacional, e este circuito é usado para realizar todas as operações aritméticas e lógicas para um processador. A ALU é conhecida como o coração de um microprocessador ou microcontrolador.

Arquivo: ALU block.gif
Crédito de imagem: “Arquivo: ALU block.gif” by lambtron está licenciado sob CC BY-SA 4.0

Lógica Combinacional com MSI e LSI

MSI significa “integração em escala média”, pode conter de 30 a 1000 componentes eletrônicos em um único chip de IC. LSI significa “integração em grande escala”, pode ter milhares de componentes embutidos e integrados em um único IC.

Adicionador com MSI e LSI:

TABELA DA VERDADE:

ABCSC
00000
00110
01010
01101
10010
10101
11001
11111

Equação para soma:

S=AB'C+A'BC+AB

Carry:

C = AB'C + A'BC + AB

imagem 18 2

Fig. Implementação de Full-Adder em circuitos MSI ou LSI.

Projeto de Lógica Combinacional | Projetar um Circuito Lógico Combinacional

O objetivo de projetar lógica combinatória:

  • Para obter a saída desejada do circuito.
  • Um circuito econômico significa com despesas mínimas a construção de um circuito.
  • A complexidade do circuito deve ser reduzida tanto quanto possível.
  • Com um número mínimo de portas, um circuito digital deve ser projetado para minimizar o atraso geral do circuito.

O circuito combinacional pode ser projetado com o multiplexador, procedimento para projetar:

  • Determine o número de variáveis ​​de entrada e saída do circuito necessário.
  • Obter o tabela verdade ou diagrama lógico do circuito necessário.
  • A partir da tabela verdade ou lógica, o diagrama determina a expressão booleana do circuito necessário e a expande em mintermos, e cada um define uma linha de dados exclusiva do multiplexador.
  • Para 'n' número de entrada, as variáveis ​​recebem 2n para 1 multiplexador.
  • Com a ajuda de uma linha e entrada selecionadas, você pode obter a saída do multiplexador de acordo com o circuito desejado.

Projeto de Circuito Combinacional Usando Portas Lógicas

O projeto de um circuito lógico combinacional pode ser feito com portas, enquanto as portas estão praticamente disponíveis como IC. Para portas diferentes, existem outros IC disponíveis com diferentes números de IC.

Etapas ou procedimento para obter o circuito lógico combinacional necessário:

  • Determine o número de variáveis ​​de entrada ou saída necessárias para a operação por meio da tabela verdade fornecida, instrução booleana ou expressão.
  • Derive a expressão na forma de uma soma do produto (SOP) ou produto da soma (POS).
  • Reduza a expressão usando o método de redução booleana ou K-map.
  • Você pode projetar o circuito com o número necessário de portas no diagrama lógico por meio da expressão reduzida.

Funções da Lógica Combinacional

As funções de uma lógica combinatória podem ser definidas com Tabela Verdade, Diagrama Lógico ou Equação Booleana.

Mesa da Verdade: A tabela verdade é uma lista tabular de todas as combinações binárias possíveis da variável de entrada e combinação de saída relacionada de um circuito lógico. Existem apenas duas possibilidades de um bit de entrada ou saída, ou seja, '0' e '1'. Se o número de entrada for 'n', haverá 2n combinações. Nesta tabela, há uma linha para representar combinações de entrada, bem como linhas diferentes para combinações de saída. Isso pode ser obtido a partir do diagrama lógico ou da expressão booleana do circuito.

Diagrama Lógico: O diagrama lógico é principalmente composto por uma porta lógica básica e alguma representação simbólica do circuito. Ele nos mostra a interconexão de portas lógicas, representa algumas linhas de sinal (como habilitar, selecionar linha, linhas de controle, etc.). É usado para definir a funcionalidade dos circuitos. Ele pode ser obtido por meio da expressão booleana ou da tabela verdade do circuito.

Expressão Booleana: Esta é uma equação formada a partir da combinação das variáveis ​​de entrada e saída; aqui, a expressão é usada principalmente para definir a variável de saída da variável de entrada. Essa expressão pode ser derivada da tabela verdade ou do diagrama lógico dos circuitos.

Exemplos de Circuito Lógico Combinacional da Vida Real

Na vida real, podemos ver o circuito combinacional na calculadora, RAM (memória de acesso aleatório), sistema de comunicação, unidade aritmética e lógica na CPU (unidade central de processamento), comunicação de dados, wi-fi, telefone celular, computador, etc. são um exemplo da vida real de onde o circuito combinacional é usado.

Procedimento de Análise em Lógica Combinacional

A análise de circuito combinacional é a análise de um determinado circuito lógico ou diagrama de circuito; a partir daqui, podemos reunir informações sobre o circuito. A a análise é verificar os comportamentos do circuito com suas especificações; analise de circuito pode ser usado para reduzir o número de portas, otimizar, reduzir o atraso ou converter o circuito em outra forma necessária.

Procedimento de análise da lógica combinacional:

  • Determine a variável de saída do circuito e tente obter uma tabela verdade ou diagrama lógico do circuito com variáveis ​​de entrada e saída.
  • Por meio de uma tabela verdade ou diagrama lógico do circuito, defina a função booleana com a ajuda de variáveis ​​de entrada e saída.

Verilog para Loop Combinational Logic

O que é um loop combinacional?

O loop combinacional é um loop no qual a saída de uma lógica combinacional (que pode consistir em uma ou mais portas lógicas combinacionais) é o feedback para a mesma lógica sem qualquer elemento de memória no caminho de feedback.

Tipos de loop combinacional:

  • Não é equivalente a trava
  • Equivalente a trava
imagem 19 1

Fig. Tipo de trava combinacional

Verilog para lógica combinacional de loop:

If (sel == 1'b0)

Y = I0;

outro

Y = Y;

Aqui, loop combinacional implementado, que é equivalente a latch.

Circuitos lógicos combinados CMOS | Redes Lógicas Combinacionais

CIs lógicos CMOS_52672-480x360
Crédito de imagem: “CMOS-Logic-ICs_52672-480 × 360” by Fotos de domínio público está licenciado sob CC BY 2.0

CMOS estático é amplamente utilizado para circuitos porque tem bom desempenho e baixo consumo de energia. Uma porta CMOS é uma combinação de uma rede pull-up (PUN) e rede pull-down (PDN); uma entrada é distribuída para os circuitos pull-up e pull-down.

A função da rede pull-up é conectar a saída com a fonte de tensão quando a saída precisa ser '1'. Considerando que uma rede pull-down fornece a conexão entre o aterramento e a saída quando a saída deve ser '0'. A rede pull-down é projetada com NMOS e PMOS é usado no PUN. NMOS é conectado em série para formar a função AND, enquanto que quando conectado em paralelo a partir da função OR. Onde PMOS em forma de saída paralela como função NAND e forma de série função NOR.

imagem 20 2

Fig. Diagrama CMOS de meio somador.

 CMOS é uma rede complementar. Isso significa que para conexão paralela em rede pull-up existe a conexão em série na rede pull-down. A porta complementar é geralmente invertida. Com um estágio, ele pode executar uma função como NAND, NOR e XNOR, e para função booleana não inversora como AND, OR e XOR, ele requer um estágio inversor extra. O número de transistores para implementação da porta lógica de entrada n é 2n.

Lógica Combinacional MUX

MUX ou seja, Multiplexador é um projeto de lógica combinacional, tem apenas uma saída e pode ter várias entradas. Tem 'n' select line for2n entrada, as linhas do seletor são usadas para selecionar qual linha de entrada será conectada à linha de saída.

imagem 13 1

Fig. Diagrama de blocos de um multiplexador 4: 1

TABELA DE VERDADE DE 4: 1 MULTIPLEXOR:

S1S2Y
00I0
01I1
10I2
11I3

Fechadura de combinação simples usando portas lógicas

Uma aparência combinatória simples é um circuito projetado com portas XOR e NOR, onde a porta XOR é um comparador de bits e a porta NOR é usada como um inversor controlado. Podemos usar o XOR para verificar e comparar a entrada e o código-chave bit a bit; se a entrada corresponder completamente ao código da chave, o bloqueio será desbloqueado. Quando as entradas e não o mesmo XOR fornecem '1' como uma saída, agora a saída passará pela porta NOR. Desta forma, podemos projetar uma fechadura simples usando portas.

Aplicações de Circuitos Lógicos Combinacionais

Os circuitos de lógica combinatória são o circuito básico da eletrônica digital, mesmo circuito sequencial é projetado a partir do circuito combinacional com o elemento de memória.

Esses circuitos são usados ​​para projetar a ROM de um computador ou microprocessador. ROM (Read Only Memory) é projetado com codificador, decodificador, multiplexador, circuito somador, circuito subtrator, etc., que são todos circuitos combinacionais.

Considerando que ALU (unidade aritmética e lógica) no processador, que também é do circuito combinacional, consiste principalmente em Somador, Subtrator, etc., para realizar todas as operações aritméticas.

Codificador e decodificador são usados ​​para converter uma forma de dados em outra (como de Binário para Decimal); estes são comumente usados ​​na comunicação para a transferência de dados de uma extremidade a outra. Este circuito fornece sincronização, se necessário; com a ajuda deles, podemos realizar qualquer operação com maior precisão.

Um multiplexador é usado para transferir dados em uma única linha. Este circuito é usado em radiodifusão, telegrafia, etc.

Desvantagens dos Circuitos de Lógica Combinacional

A limitação ou desvantagem do meio-somador é superada por um meio-somador, enquanto o subtrator completo supera a restrição do meio-somador.

Desvantagens do Multiplexer: Limitação de uso da porta, que pode ser usada em uma seqüência específica. O circuito pode causar atraso.

A desvantagem do Demultiplexer: desperdício de largura de banda, o atraso pode ser devido à sincronização.

Desvantagens do codificador: circuitos complexos podem ser facilmente sujeitos a interferência magnética.

No geral, o circuito combinacional é complexo à medida que o circuito está ficando maior; em circuitos maiores, pode haver um alto retardo de propagação, não tem nenhum elemento de memória.

Circuitos lógicos combinacionais MCQ | Problemas e soluções de circuitos de lógica combinacional | Perguntas frequentes

O que é lógica combinatória Quais são as suas características ?

Descrito em Circuito lógico combinacional seção.

O que é 1 * 4 Demultiplexer em Circuitos de Lógica Combinacional?

Um Demultiplexador 1 a 4 tem duas linhas de seleção, quatro saídas e uma entrada. Os dados de entrada são conectados à linha de saída de acordo com a linha selecionada.

imagem 14 1

Fig. Diagrama de blocos do Demultiplexador 1: 4

Mesa da verdade:

ENTRADAS   SAÍDAS 
S1S0Y3Y2Y1Y0
000001
010010
100100
111000

Você pode ter metaestabilidade com lógica combinacional pura ?

Sim, pode haver um estado de metaestabilidade por algum tempo na lógica combinacional pura.

             A metaestabilidade se refere ao estado que não pode ser definido como '0' ou '1'. Normalmente, isso acontece com um circuito quando a tensão está presa entre '0' e '1', o que pode causar oscilação, saída incerta, transição pouco clara, etc. Quando tal sinal passa pelo circuito combinacional, ele pode violar portas básicas ' especificação e propagação por todo o circuito.

Por exemplo, ao tomar o circuito dado, como vemos aqui, há uma porta AND e uma porta NOT, praticamente um circuito tem algum retardo de propagação; como a porta AND tem algum retardo de propagação, a porta NOT também. Como sabemos, a saída deve ser definida em todos os momentos, mas há um intervalo de tempo T onde o estado de saída ou o estado de transição não é definido ou indesejável. Esse estado naquele intervalo de tempo pode ser considerado como metaestabilidade de um circuito lógico combinacional puro.

Consideração de projeto de diferentes circuitos lógicos combinacionais em VHDL.

Para projetar circuitos, você deve conhecer o básico de VHDL, como representar uma função booleana, representar uma porta fundamental, etc.

Aqui, consideramos o somador completo como exemplo:

Em VHDL:

Entidade FullAdder é

Porta (A, B, C: em bits;

D, S: bit de saída);

fim FullAdder

Vantagens de projeto e teste de circuitos lógicos combinacionais usando esquema de auto-teste

Vantagens:

  • Custo mais baixo para teste.
  • A falha pode ser facilmente detectada.
  • Tempo de teste mais curto.
  • Para maior confiabilidade no circuito, um esquema de autoteste é usado.

Qual é a diferença entre o circuito lógico combinacional e sequencial?

Para conhecer lógica sequencial clique aqui.

Deixe um comentário